Megafunctions/LPM
The Quartus II software offers a variety of megafunctions, including the library of parameterized modules (LPM) functions and other parameterized functions. Megafunctions are listed below by function. Functions indicated by an asterisk (*) are provided for backward compatibility only.
Arithmetic MegaWizards and Megafunctions:
Arithmetic components include accumulators, adders, multipliers, and LPM arithmetic functions.
MegaWizard Megafunction(s)Comments
ALTACCUMULATE altaccumulate Parameterized accumulator megafunction.参数化的寄存器模块
altecc_decoder Error correction code (ECC) megafunction.数据代码纠正模块
ALTECC
altecc_encoder Error correction code (ECC) megafunction.数据代码纠正模块
ALTFP_ADD_SUB altfp_add_sub Floating-point adder/subtractor megafunction.
浮点、加法器/减法器模块
ALTFP_COMPARE altfp_compare Parameterized floating-point comparator megafunction.
参数化的浮点比较器模块
ALTFP_CONVERT altfp_convert Parameterized floating-point conversion megafunction.
参数化的浮点转换器模块
ALTFP_DIV altfp_div Parameterized floating-point divider megafunction.
参数化的浮点分配器模块
ALTFP_MULT altfp_mult Parameterized floating-point multiplier megafunction.
参数化的浮点乘法器模块
ALTFP_SQRT altfp_sqrt Parameterized floating-point square root megafunction.
参数化的浮点平方根模块
ALTMEMMULT altmemmult Parameterized memory multiplier megafunction.
数化的记忆乘法器模块
ALTMULT_ACCUM (MAC)altmult_accum
Parameterized multiply-accumulate
megafunction.
参数化的乘-累积模块
ALTMULT_ADD altmult_add Parameterized multiplier/adder megafunction.
参数化的乘法器/加法器模块
ALMULT_COMPLEX altmult_complex Parameterized complex multiplier megafunction.
参数化的综合乘法器模块
ALTSQRT altsqrt Parameterized integer square root megafunction.
参数化的整数平方根模块
LPM_ABS lpm_abs Parameterized absolute value megafunction.参数化的绝对值模块
LPM_ADD_SUB lpm_add_sub Parameterized adder/subtractor megafunction.
参数化的加法器/减法器模块
LPM_COMPARE lpm_compare Parameterized comparator megafunction.参数化的比较器模块
LPM_COUNTER lpm_counter Parameterized counter megafunction.参数化的计数器模块
lpm_divide Parameterized divider megafunction.参数化的分配器模块
LPM_DIVIDE
divide*Parameterized divider megafunction.
参数化的分配器模块
Use the divide megafunction with mature device families. For newer device families, use the lpm_divide megafunction.
lpm_mult Parameterized multiplier megafunction.参数化的乘法器模块
LPM_MULT
altsquare Parameterized squarer megafunction.参数化的squarer 模块
PARALLEL_ADD parallel_add Parallel adder megafunction.参数化的并联加法器模块
Gates MegaWizards and Megafunctions:
Gates include multiplexers and LPM gate functions.
MegaWizard Megafunction(s)Comments
LPM_AND lpm_and
Parameterized AND gate megafunction.
I/O MegaWizards and Megafunctions:
I/O components include Clock Data Recovery (CDR), phase locked loop (PLL),
double data rate (DDR), gigabit transceiver block (GXB), LVDS receiver, LVDS transmitter, PLL reconfiguration, and remote update megafunctions.
MegaWizard
Megafunction(s)Comments
ALT2GXB
alt2gxb
GXB megafunction.
千兆位收发器
ALT2GXB_RECONFIG alt2gxb_reconfig
GXB dynamic reconfiguration megafunction.
千兆位动态配置收发器
ALTASMI_PARALLEL altasmi_parallel
Active serial memory interface parallel megafunction.
并联接口的串行存储器
ALTCLKCTRL
altclkctrl
Clock control block megafunction.
时钟控制模块
ALTCLKLOCK
altclklock
Parameterized PLL megafunction.
参数化的锁相环模块
ALTDDIO_BIDIR
altddio_bidir
DDR bidirectional megafunction.
参数化的与门模块
LPM_BUSTRI
lpm_bustri Parameterized tri-state buffer megafunction.参数化的三态缓冲器模块LPM_CLSHIFTfunction怎么记忆
lpm_clshift Parameterized combinational logic shifter or barrel shifter
megafunction.参数化的组合逻辑转换模块
LPM_CONSTANT lpm_constant
Parameterized constant generator megafunction.
参数化的常数发生器模块LPM_DECODE
lpm_decode
Decoder megafunction.
参数化的解码器模块
LPM_INV
lpm_inv Parameterized inverter megafunction.
参数化的反相器模块LPM_MUX
lpm_mux Parameterized multiplexer megafunctions.参数化的多路转换器模块LPM_OR
lpm_or Parameterized OR gate megafunction.参数化的或门模块LPM_XOR
lpm_xor Parameterized XOR gate megafunction.参数化的异或门模块
双倍数双向模块
ALTDDIO_IN altddio_in DDR input megafunction.双倍数输入模块
ALTDDIO_OUT altddio_out DDR output megafunction.双倍数输出模块
ALTDLL altdll Delay locked loop (DDL) megafunction.锁相环模块
ALTDQ altdq Data strobe megafunction.数据滤波模块
ATLDQS altdqs Parameterized bidirectional data strobe megafunction.参数化的双向数据滤波器
atldq_dqs Parameterized data strobe megafunction.参数化的数据滤波器
ALTGX alt4gxb High-Speed Serial Interface (HSSI) GXBmegafunction.高速串行千兆收发器
ALTGXB altgxb GXB megafunction.千兆位收发器
altiobuf_bidir Bidirectional I/O buffer megafunction.双向I/O缓冲模块
altiobuf_in Input I/O buffer megafunction.输入缓冲模块
ALTIOBUF
altiobuf_out Output I/O buffer megafunction.输出缓冲模块
altlvds_rx Low voltage differential signalling (LVDS) receiver megafunction.
低电压差分信号接收器
ALTLVDS
altlvds_tx Low voltage differential signalling (LVDS) transmitter megafunction.
低电压差分信号发送器
ALTMEMPHY ALTMEMPHY External DDR Memory PHY interface megafunction. PHY接口的外部的DDR存储器
ALTOCT alt_oct On-chip termination (OCT) megafunction.片上终端模块
ALTPLL altpll Parameterized PLL megafunction.参数化的锁相环模块
ALTPLL_RECONFIG altpll_reconfig Parameterized PLL reconfiguration megafunction.
参数化可重配置的锁相环模块
ALTREMOTE_UPDATE altremote_update
Parameterized remote update megafunction.
参数化的远程更新模块
altstratixii_oct Parameterized OCT megafunction.
参数化的片上终端模块MAX II oscillator
altufm_osc Oscillator megafunction.
震荡器模块
JTAG-accessible Extensions MegaWizards and Megafunctions:
Memory Compiler MegaWizards and Megafunctions:
Memory Compiler includes FIFO Partitioner, RAM, ROM, and memory functions.
MegaWizard
Megafunction(s)
Comments
Ram initializer
altmem_init RAM initialization from ROM megafunction.ROM 中载入数据初始化RAM
CAM
altcam Content-addressable memory (CAM) megafunction.
可设地址的存储器模块
dcfifo
Parameterized dual-clock FIFO megafunction.
参数化的双时钟先入先出模块
dcfifo_mixed_widths Parameterized dual-clock mixed-widths FIFO
megafunction.
参数化的双时钟混合宽度的先入先出模块
FIFO
scfifo
Parameterized single-clock FIFO megafunction.
参数化的单时钟先入先出模块
FIFO partitioner
altcsmem
FIFO partitioner megafunction.
先入先出区分模块
Flash Memory
altufm_i2c
User flash memory megafunction with the inter-
MegaWizard
Megafunction(s)Comments
In-System Sources and Probes
altsource_probe In-system debugging megafunction.
在系统调试模块Parallel Flash Loader
altparallel_flash_loader
Parallel flash loader (PFL) megafunction.并行的快速载入模块Serial Flash Loader
altserial_flash_loader
Serial flash loader megafunction.串行的快速载入模块SignalTap II Logic Analyzer
sld_signaltap
SignalTap II Logic Analyzer megafunction SignalTap II 逻辑分析模块
Virtual JTAG
sld_virtual_jtag Virtual JTAG Interface (VJI) megafunction
虚拟的JTAG 接口模块

版权声明:本站内容均来自互联网,仅供演示用,请勿用于商业和其他非法用途。如果侵犯了您的权益请与我们联系QQ:729038198,我们将在24小时内删除。