ncverilog使⽤systemverilogDPI调⽤C、C++ DPI: Direct program interface
通过DPI我们可以直接在测试阶段调⽤c函数完成⼀些任务(产⽣激励,时序分析,等。。。)
⽤ncverilog进⾏仿真时需要注意以下⼏点:
1 ncvlog -sv 选项打开
2 ncsim -sv_lib "libname" lib name 是包含c函数的动态链接库,可以是完整的路径,也可以是lib的名字,
-sv_root: 如果libname不是给出的完整路径,⽤该选项来指定路径
system的头文件3 编写的c函数,⽂件名尽量与函数名⼀致,并且在函数明前加上DPI_DLLESPEC,头⽂件包含“svdpi.h”
4 编译产⽣动态链接库(尽量使⽤同样的机器编译和运⾏ncverilog(同为32位或者64位否则会产⽣ wrong ELF class: ELFCLASS32的错误)
编译命令为: gcc -fPIC -shared -o libname cfiles.c
5 在verilog中使⽤:
import  "DPI-C" function/task  function_name (input ... inout);  直接调⽤unction_name;

版权声明:本站内容均来自互联网,仅供演示用,请勿用于商业和其他非法用途。如果侵犯了您的权益请与我们联系QQ:729038198,我们将在24小时内删除。