688IT编程网

688IT编程网是一个知识领域值得信赖的科普知识平台

亚稳态

Verilog实现的格雷码与二进制码的互相转换

2023-12-10 02:48:50

Verilog实现的格雷码与⼆进制码的互相转换1、什么是格雷码格雷码是⼀种循环⼆进制码或者叫作反射⼆进制码。格雷码的特点是从⼀个数变为相邻的⼀个数时,只有⼀个数据位发⽣跳变,由于这种特点,就可以避免⼆进制编码计数组合电路中出现的亚稳态。格雷码常⽤于通信,FIFO 或者 RAM 地址寻址计数器中。下表给出了4bit⾃然⼆进制码、4bit典型格雷码(⽆特殊说明,典型格雷码即格雷码)与4bit⼗进制整数...

最新文章